Info
Info
News Article

Bilayer Photoresists: An Etch Perspective

The drive to faster devices with smaller features will require adoption of ArF-based lithography and 193nm-compatible photoresists at the 90nm node. Etch engineers must face the challenge of working with more fragile photoresist materials that are subject to degradation and deformation in the etch plasma than the previous generation. A look at the feasibility of using a KrF bilayer photoresist to extend the lifetime of 248nm lithography.
The drive to faster devices with smaller features will require adoption of ArF-based lithography and 193nm-compatible photoresists at the 90nm node. Etch engineers must face the challenge of working with more fragile photoresist materials that are subject to degradation and deformation in the etch plasma than the previous generation. A look at the feasibility of using a KrF bilayer photoresist to extend the lifetime of 248nm lithography.


At the 193nm node, photoresist stacks are thinned to improve resolution, with the typical thickness of the photoresist decreasing from approximately 500nm (for 248nm-compatible materials) to approximately 250nm. As device size shrinks, dimensional tolerances also shrink, requiring more precise control of critical dimensions (CD) during the etch process to minimise variation across individual devices, across the entire wafer, and from wafer to wafer. The etch process must be made more selective to prevent etching of photoresist and ensure accurate pattern transfer. As the industry transitions to processing 300mm wafers, across-wafer CD control becomes even more significant.


New challenges arise from the introduction of copper interconnect and low-ƒÛ materials. Current low-ƒÛ materials, such as carbon-doped oxides (CDO), require leaner (less polymerising) etch chemistries. These chemistries result in lower etch selectivity to photoresist, necessitating a thicker photoresist stack, contrary to the lithography requirement for a thinner photoresist stack.


Low-ƒÛ dielectrics and barrier layers associated with copper interconnect can also interact with photosensitive resist materials, forming residues that cannot be removed by conventional methods. For example, this ¡§photoresist poisoning¡¨ can lead to the formation of unstrippable residues that plug the via holes when defining the trench pattern on top of etched vias in the via-first, trench-last dual damascene etch integration scheme.


Today¡¦s photoresist formulations used for ArF (193nm) lithography are more fragile than the previous generation of 248nm-compatible materials. This weakness is evident during the etch process, when bombardment by energetic ions and reactive neutral species results in physical roughening and chemical modification of the photoresist mask. The roughening of the photoresist mask is transferred to the pattern being etched in the underlayer, producing pattern distortion, such as line edge roughness, line wiggling, and striations. Consequences can be severe: CD distortion can compromise device performance and striations inside via or contact holes hamper the subsequent metal fill, thus increasing resistance or causing catastrophic failure, such as void formation or lift-off.
193nm Photoresists ¡V Etch Challenges and Potential Solutions
The approaches used to reduce striations and roughness caused by etch-induced damage of the photoresist fall into three basic categories: reduction of ion bombardment energy in the etch process, use of polymerising etch chemistries, and modification of photoresist mask materials.
Ion bombardment causes photoresist roughening by both physical modification of the photoresist pattern (e.g., sputtering) and thermally induced deformation from the heat generated by the ion bombardment. Thermal stresses accumulate in the photoresist, exacerbating the ¡§wiggling¡¨ of the line pattern as the etch proceeds (Figure 1). Wiggling can be reduced by using a low ion energy process in combination with a more polymerising chemistry, thereby mitigating thermal stress while depositing a stabilising polymer layer over the photoresist pattern. Such an approach may be suitable when etching shallow features as in pre-device hard mask etches or interconnect damascene trench etches, but is less suitable for etching high aspect ratio contact and via holes for which low pressure, high energy processes are required to ensure vertical profiles and high throughputs.
Highly polymerising etch chemistries offer a second approach to preserving photoresist integrity in a high ion energy etch process. Dielectric etch chemistries typically use fluorocarbon gas precursors as a source of fluorine-containing reactive species for reacting with and volatilising the etched material and for forming fluorocarbon polymer that passivates vertical walls and forms a protective coating over the photoresist mask. The need for higher selectivity to photoresist when etching small features has prompted use of less fluorinated chemistries, progressing from CF4/CHF3 to C2F6 to C4F8 to C5F8 and C4F6. However, fluorocarbon gases with higher carbon content and greater unsaturation increase the deposition of protective polymer, potentially leaving a post-etch mask that is thicker than the initial photoresist. But, while highly polymerising chemistries can be used to etch silicon oxides, lean, less-selective chemistry may be more desirable for low-ƒÛ materials.


A third approach to reducing etch-induced photoresist damage is to use a multi-layer photoresist/mask pattern transfer scheme, whereby the pattern from the photoresist mask is first transferred to an intermediate mask of more robust material. The intermediate mask is then used to pattern the underlying dielectric material. This approach relegates pattern definition and plasma resistance functions to separate layers: the photoresist can be optimized for lithographic patterning while the intermediate mask can be optimised for resistance to the plasma etch process. Furthermore, the latter can be tailored to function as an anti-reflective coating, and/or a planarising layer, when patterning over previously etched features, as in the case of dual damascene etch. By choosing the intermediate mask material for its plasma resistance, we can better control the profile and CD of the etched features, while eliminating striations, pattern deformation, and issues related to interaction between photoactive photoresists and low-ƒÛ materials. Moreover, the better optical resolution feasible with this approach extends existing 248nm lithography capabilities to smaller dimensions, providing an alternative to 193nm lithography. The choice of the most appropriate material for the intermediate layer is dictated by process integration and device manufacturing requirements, e.g., thermal budget added by mask deposition; compatibility of the residual mask with the final structure; or, if incompatible, the feasibility and impact of mask removal.


Using bilayer photoresist is a simple, elegant approach appropriate for 193nm, 157nm, and even electron beam lithography. In Figure 2, an underlayer (approximately 500nm) of an optimized polymer, chosen for its superior etch resistance, excellent planarization/gap-filling, and anti-reflective properties, is first applied to the wafer. A thin (approximately 150nm) silicon-containing imaging layer is applied in a second step. Both films can be applied using conventional photoresist-coating equipment and are compatible with the solvent systems used for DUV photoresist processing and edge bead removal. After this bilayer stack is applied, the wafer is processed with conventional equipment through the standard lithography sequence of exposure, post exposure bake, and development.



Integrated Approach to Bilayer Photoresist


Typically, a two-step process is used to transfer the pattern from the bilayer photoresist mask to the underlying dielectric film. In the first step, a non-fluorocarbon oxidising chemistry etches the organic bottom layer, with the silicon-containing top layer serving as a mask. In the second step, the underlying dielectric film is etched with the pattern from the bottom layer of the bilayer system, using a highly selective fluorocarbon-based chemistry. Because of the difference in the etch chemistries used in the two steps, it is desirable to run them in separate etch tools to avoid chemistry interaction ¡§memory effects¡¨ that can compromise CD control.


In the work addressed here, we used a 200mm production-proven magnetically enhanced reactive ion etch (MERIE) dielectric etch system. This high-productivity system combines two or more chambers running Deposition Mode (polymer-rich) and Clean Mode (polymer-free) steps in separate chambers to avoid ¡§fluorine memory¡¨ (Figure 3). Integrating deposition and mode chambers on a single system provides several benefits over using dedicated tools for ¡§dry developing¡¨ the bilayer photoresist and etching the underlying dielectric layers, namely:

  • Shorter cycle time
  • Reduced work-in-progress inventory
  • Simpler tool/operator interface
  • Isolation of the wafer from the fab atmosphere under constant vacuum conditions throughout the entire etch process

    For each wafer, the bilayer mask was first dry developed in the Clean Mode chamber, using a fluorine and sulfur dioxide-free chemistry to etch the bottom layer. [Although previous studies indicate that bilayer photoresists with a silylated top layer may be etched with a mixture of an oxidizing gas (usually oxygen) and a passivating gas, such as CO24, N25,and He and/or SO26, we did not consider SO2 for this study, because of concerns about potential metal corrosion when the etched wafers are being processed at the interconnect levels.] The process delivered vertical feature profiles at an etch rate of 430nm/min with approximately 100nm of photoresist remaining in the trench and isolated hole pattern and 10nm in the dense hole pattern (Figure 4). The substantial loss of the top layer in the dense hole pattern from sputtering at the facet is to be expected for the aggressive pattern (190nm hole diameter, 260nm pitch) and could be reduced by further optimising the etch process.


    The wafer was then transferred to the Deposition Mode chamber, where the oxide layer was etched, using highly selective C4F6/O2 chemistry. The chamber was designed with high pumping conductance and thermal control of chamber walls specifically to manage highly polymerising etch chemistries that present challenges for conventional etch reactors. It delivers highly selective etch processing over a larger process window than conventional chemistries (C4F8) and with significantly less environmental impact. The thermal oxide etch rate was 520nm/min with selectivity to the underlayer of 10:1 in the trench and isolated hole pattern and 3.7:1 in the dense hole pattern (Figure 4).


    Finally, the remaining bilayer stack was stripped in a conventional strip chamber, although in-situ stripping is also feasible. Based on our own data and feedback from other users of the same 248nm bilayer photoresist system, a conventional photoresist strip followed by wet treatment with conventional post-etch polymer removal is sufficient to remove all photoresist and post-etch residues. Note, however, that after etching a low-ƒÛ material, stripping of the bilayer photoresist is best performed in a Clean Mode etch chamber rather than in a conventional photoresist stripping chamber in which the high temperature, downstream plasma environment can potentially modify the low-ƒÛ material and increase its dielectric constant.


    Figure 5 demonstrates that using the bilayer photoresist system achieved the objective of preserving mask pattern integrity by avoiding roughening or striations. The mean critical dimension bias after the oxide etch was ¡V 4nm with less than 15nm across-wafer variation.
    The key process knobs for the dry development process are the reactor pressure and the flow ratio of oxidizing-to-passivating gases. The dry development rate increases at higher flow ratios of oxidising-to-passivating gases and at higher process pressures, because of the higher concentration of etchant species. The flow ratio of the gases is an important factor in determining the etch bias: at high ratios, insufficient passivation can lead to isotropic etching of the underlayer, resulting in enlarged holes and trenches. As the flow ratio of oxidising-to-passivating gases is decreased, the etch bias is minimized, but the dry development rate also decreases. Process pressure is important in determining profile integrity: reducing the pressure results in a more vertical profile, but also increases the potential for pattern distortion from roughening and striations.


    In Figure 6, we compare the results obtained with the KrF bilayer photoresist system with those from a conventional single-layer photoresist system (400nm UV210 photoresist over 60nm of an anti-reflectant) for equivalent patterns. The bilayer system delivered significantly better performance, with approximately 10 times more photoresist remaining at the facet of the isolated hole pattern and a relatively striation-free etch in the isolated hole pattern as compared to the single-layer photoresist. The single-layer system provided insufficient photoresist selectivity in the dense hole pattern; all of the photoresist was eroded away before the etch process was complete, causing catastrophic failure of the etch pattern definition.


    Conclusion


    Bilayer photoresist systems offer a potential solution to overcoming the lower etch selectivity and greater propensity to degradation and deformation in etch plasmas encountered with 193nm photoresists, while extending the capabilities of current lithography systems to smaller features. The work described here demonstrated that bilayer photoresist is less prone to etch-induced degradation and deformation and delivers performance superior to that of conventional single-layer photoresists. The processes developed are scalable to 300mm.


    The authors of this article were Eda Tuncel, James Stinnett, Ajey Joshi, and Amulya Athayde of Applied Materials and Jerome Wandell, Lori Joesten, and Frank Fischer of Shipley Company.

  • Tandem PV Devices Feel The Heat
    SOLARWATT Links With Easy Roof To Provide Building-integrated PV For Better-looking Buildings And Smart EV Charging
    Solar Power As Rental Offer Launched By Aggreko
    Power Roll Trials Solar PV To Power Up Himalayan Villages
    TLT Advises Santander On 30MW Flagship Battery Storage Project
    Sonnedix Named ESG Global Solar Power Generation Sector Leader By GRESB
    Oakapple Renewable Energy Appoint Stuart Gentry To Head Business Development
    NextEnergy Capital Acquires Its First Asset In Portugal 17.4MWp Solar PV Project
    TLT Advises Innova Energy On £30m Refinancing Of 57 MW Solar Portfolio
    Low Carbon Develop UK’s Largest Community-owned Solar Park
    New Innovation Set To Change Renewable Energy Market
    UK Green Tech Company Myenergi To Double Workforce By 2021
    FRV And Harmony Energy To Develop Second UK Utility Scale Battery Project
    Habitat Enerdy Enters Balancing Mechanism With Largest Battery
    FIMER Powers UK Largest Rooftop Solar Project
    The Smarter E South America Postponed To October 18-20, 2021
    UK'S Largest Battery Ready To Balance The Grid
    Greencoat Renewables Announces First Transaction In Nordic Market
    Sunstore Solar Launches WattGrid, A New Range Of Turnkey Off-grid Power Systems
    Everoze Creates Skyray To Design And Engineer Great Solar PV Projects
    Ingenious Invests In Electric Vehicle Charging Firm
    Analysis Of UK Commercial Roof Space Shows Solar PV Film Can Achieve Net Zero Without Greenfield Sites
    Sharp Launches New 440W Half-cut Cell PV Panel
    Going Green In Lancashire – Hundreds Of Houses Installed With Solar Panels In Ground-breaking Project
    ×
    Search the news archive

    To close this popup you can press escape or click the close icon.
    Logo
    ×
    Logo
    ×
    Register - Step 1

    You may choose to subscribe to the Smart Solar Magazine, the Smart Solar Newsletter, or both. You may also request additional information if required, before submitting your application.


    Please subscribe me to:

     

    You chose the industry type of "Other"

    Please enter the industry that you work in:
    Please enter the industry that you work in:
     
    X
    Info
    X
    Info
    {taasPodcastNotification} Array
    Live Event